Английская Википедия:Graphics Core Next

Материал из Онлайн справочника
Перейти к навигацииПерейти к поиску

Шаблон:Short description Шаблон:Distinguish Шаблон:Use mdy dates Шаблон:Context Graphics Core Next (GCN)[1] is the codename for a series of microarchitectures and an instruction set architecture that were developed by AMD for its GPUs as the successor to its TeraScale microarchitecture. The first product featuring GCN was launched on January 9, 2012.[2]

GCN is a reduced instruction set SIMD microarchitecture contrasting the very long instruction word SIMD architecture of TeraScale.[3] GCN requires considerably more transistors than TeraScale, but offers advantages for general-purpose GPU (GPGPU) computation due to a simpler compiler.

GCN graphics chips were fabricated with CMOS at 28 nm, and with FinFET at 14 nm (by Samsung Electronics and GlobalFoundries) and 7 nm (by TSMC), available on selected models in AMD's Radeon HD 7000, HD 8000, 200, 300, 400, 500 and Vega series of graphics cards, including the separately released Radeon VII. GCN was also used in the graphics portion of Accelerated Processing Units (APUs), including those in the PlayStation 4 and Xbox One.

Instruction set

The GCN instruction set is owned by AMD and was developed specifically for GPUs. It has no micro-operation for division.

Documentation is available for:

An LLVM compiler back end is available for the GCN instruction set.[5] It is used by Mesa 3D.

GNU Compiler Collection 9 supports GCN 3 and GCN 5 since 2019[6] for single-threaded, stand-alone programs, with GCC 10 also offloading via OpenMP and OpenACC.[7]

MIAOW is an open-source RTL implementation of the AMD Southern Islands GPGPU microarchitecture.

In November 2015, AMD announced its Boltzmann Initiative, which aims to enable the porting of CUDA-based applications to a common C++ programming model.[8]

At the Super Computing 15 event, AMD displayed a Heterogeneous Compute Compiler (HCC), a headless Linux driver and HSA runtime infrastructure for cluster-class high-performance computing, and a Heterogeneous-compute Interface for Portability (HIP) tool for porting CUDA applications to the aforementioned common C++ model.

Microarchitectures

As of July 2017, the Graphics Core Next instruction set has seen five iterations. The differences between the first four generations are rather minimal, but the fifth-generation GCN architecture features heavily modified stream processors to improve performance and support the simultaneous processing of two lower-precision numbers in place of a single higher-precision number.[9]

Command processing

Файл:GCN command processing.svg
GCN command processing: Each Asynchronous Compute Engines (ACE) can parse incoming commands and dispatch work to the Compute Units (CUs). Each ACE can manage up to 8 independent queues. The ACEs can operate in parallel with the graphics command processor and two DMA engines. The graphics command processor handles graphics queues, the ACEs handle compute queues, and the DMA engines handle copy queues. Each queue can dispatch work items without waiting for other tasks to complete, allowing independent command streams to be interleaved on the GPU's Shader.

Graphics Command Processor

The Graphics Command Processor (GCP) is a functional unit of the GCN microarchitecture. Among other tasks, it is responsible for the handling of asynchronous shaders.[10]

Asynchronous Compute Engine

The Asynchronous Compute Engine (ACE) is a distinct functional block serving computing purposes, whose purpose is similar to that of the Graphics Command Processor.Шаблон:Ambiguous

Schedulers

Since the third iteration of GCN, the hardware contains two schedulers: one to schedule "wavefronts" during shader execution (the CU Scheduler, or Compute Unit Scheduler) and the other to schedule execution of draw and compute queues. The latter helps performance by executing compute operations when the compute units (CUs) are underutilized due to graphics commands limited by fixed function pipeline speed or bandwidth. This functionality is known as Async Compute.

For a given shader, the GPU drivers may also schedule instructions on the CPU to minimize latency.

Geometric processor

Файл:GCN Geometry Processors.svg
Geometry processor

The geometry processor contains a Geometry Assembler, a Tesselator, and a Vertex Assembler.

The Tesselator is capable of doing tessellation in hardware as defined by Direct3D 11 and OpenGL 4.5 (see AMD January 21, 2017),[11] and succeeded ATI TruForm and hardware tessellation in TeraScale as AMD's then-latest semiconductor intellectual property core.

Compute units

One compute unit (CU) combines 64 shader processors with 4 texture mapping units (TMUs).[12][13] The compute units are separate from, but feed into, the render output units (ROPs).[13] Each compute unit consists of the following:

  • a CU scheduler
  • a Branch & Message Unit
  • 4 16-lane-wide SIMD Vector Units (SIMD-VUs)
  • 4 64 KiB vector general-purpose register (VGPR) files
  • 1 scalar unit (SU)
  • a 8 KiB scalar GPR file[14]
  • a local data share of 64 KiB
  • 4 Texture Filter Units
  • 16 Texture Fetch Load/Store Units
  • a 16 KiB level 1 (L1) cache

Four Compute units are wired to share a 16KiB L1 instruction cache and a 32KiB L1 data cache, both of which are read-only. A SIMD-VU operates on 16 elements at a time (per cycle), while a SU can operate on one a time (one/cycle). In addition, the SU handles some other operations, such as branching.[15]

Every SIMD-VU has some private memory where it stores its registers. There are two types of registers: scalar registers (S0, S1, etc.), which hold 4 bytes number each, and vector registers (V0, V1, etc.), which each represent a set of 64 4-byte numbers. On the vector registers, every operation is done in parallel on the 64 numbers. which correspond to 64 inputs. For example, it may work on 64 different pixels at a time (for each of them the inputs are slightly different, and thus you get slightly different color at the end).

Every SIMD-VU has room for 512 scalar registers and 256 vector registers.

AMD has claimed that each GCN compute unit (CU) has 64 KiB Local Data Share (LDS).[16]

CU scheduler

The CU scheduler is the hardware functional block, choosing which wavefronts the SIMD-VU executes. It picks one SIMD-VU per cycle for scheduling. This is not to be confused with other hardware or software schedulers.

Wavefront

A shader is a small program written in GLSL that performs graphics processing, and a kernel is a small program written in OpenCL that performs GPGPU processing. These processes don't need that many registers, but they do need to load data from system or graphics memory. This operation comes with significant latency. AMD and Nvidia chose similar approaches to hide this unavoidable latency: the grouping of multiple threads. AMD calls such a group a "wavefront", whereas Nvidia calls it a "warp". A group of threads is the most basic unit of scheduling of GPUs that implement this approach to hide latency. It is the minimum size of the data processed in SIMD fashion, the smallest executable unit of code, and the way to processes a single instruction over all of the threads in it at the same time.

In all GCN GPUs, a "wavefront" consists of 64 threads, and in all Nvidia GPUs, a "warp" consists of 32 threads.

AMD's solution is to attribute multiple wavefronts to each SIMD-VU. The hardware distributes the registers to the different wavefronts, and when one wavefront is waiting on some result, which lies in memory, the CU Scheduler assigns the SIMD-VU another wavefront. Wavefronts are attributed per SIMD-VU. SIMD-VUs do not exchange wavefronts. A maximum of 10 wavefronts can be attributed per SIMD-VU (thus 40 per CU).

AMD CodeXL shows tables with the relationship between number of SGPRs and VGPRs to the number of wavefronts, but essentially, for SGPRS it is between 104 and 512 per number of wavefronts, and for VGPRS it is 256 per number of wavefronts.

Note that in conjunction with the SSE instructions, this concept of the most basic level of parallelism is often called a "vector width". The vector width is characterized by the total number of bits in it.

SIMD Vector Unit

Each SIMD Vector Unit has:

  • a 16-lane integer and floating point vector Arithmetic Logic Unit (ALU)
  • 64 KiB Vector General Purpose Register (VGPR) file
  • 10× 48-bit Program Counters
  • Instruction buffer for 10 wavefronts (each wavefront is a group of 64 threads, or the size of one logical VGPR)
  • A 64-thread wavefront issues to a 16-lane SIMD Unit over four cycles

Each SIMD-VU has 10 wavefront instruction buffers, and it takes 4 cycles to execute one wavefront.

Audio and video acceleration blocks

Many implementations of GCN are typically accompanied by several of AMD's other ASIC blocks. Including but not limited to the Unified Video Decoder, Video Coding Engine, and AMD TrueAudio.

Video Coding Engine

Шаблон:Main

The Video Coding Engine is a video encoding ASIC, first introduced with the Radeon HD 7000 series.[17]

The initial version of the VCE added support for encoding I and P frames H.264 in the YUV420 pixel format, along with SVE temporal encode and Display Encode Mode, while the second version added B-frame support for YUV420 and YUV444 I-frames.

VCE 3.0 formed a part of the third generation of GCN, adding high-quality video scaling and the HEVC (H.265) codec.

VCE 4.0 was part of the Vega architecture, and was subsequently succeeded by Video Core Next.

TrueAudio

Шаблон:Empty section

Unified virtual memory

In a preview in 2011, AnandTech wrote about the unified virtual memory, supported by Graphics Core Next.[18]

Шаблон:Gallery

Шаблон:Anchor Heterogeneous System Architecture (HSA)

Шаблон:Main

Файл:Linux AMD graphics stack.svg
GCN includes special purpose function blocks to be used by HSA. Support for these function blocks is available through Шаблон:Mono since Linux kernel 3.19.[19]

Some of the specific HSA features implemented in the hardware need support from the operating system's kernel (its subsystems) and/or from specific device drivers. For example, in July 2014, AMD published a set of 83 patches to be merged into Linux kernel mainline 3.17 for supporting their Graphics Core Next-based Radeon graphics cards. The so-called HSA kernel driver resides in the directory Шаблон:Mono, while the DRM graphics device drivers reside in Шаблон:Mono[20] and augment the already existing DRM drivers for Radeon cards.[21] This very first implementation focuses on a single "Kaveri" APU and works alongside the existing Radeon kernel graphics driver (kgd).

Lossless Delta Color Compression

Шаблон:Expand section

Hardware schedulers

Hardware schedulers are used to perform scheduling[22] and offload the assignment of compute queues to the ACEs from the driver to hardware, by buffering these queues until there is at least one empty queue in at least one ACE. This causes the HWS to immediately assign buffered queues to the ACEs until all queues are full or there are no more queues to safely assign.[23]

Part of the scheduling work performed includes prioritized queues which allow critical tasks to run at a higher priority than other tasks without requiring the lower priority tasks to be preempted to run the high priority task, therefore allowing the tasks to run concurrently with the high priority tasks scheduled to hog the GPU as much as possible while letting other tasks use the resources that the high priority tasks are not using.[22] These are essentially Asynchronous Compute Engines that lack dispatch controllers.[22] They were first introduced in the fourth generation GCN microarchitecture,[22] but were present in the third generation GCN microarchitecture for internal testing purposes.[24] A driver update has enabled the hardware schedulers in third generation GCN parts for production use.[22]

Primitive Discard Accelerator

This unit discards degenerate triangles before they enter the vertex shader and triangles that do not cover any fragments before they enter the fragment shader.[25] This unit was introduced with the fourth generation GCN microarchitecture.[25]

Generations

Шаблон:AnchorGraphics Core Next 1

Шаблон:Infobox GPU The GCN 1 microarchitecture was used in several Radeon HD 7000 series graphics cards.

Файл:AMD@28nm@GCN 1st gen@Tahiti@Radeon HD 7950 GHz Edition@1312 NCC858.00 512-0821065 Stack-DSC03197-DSC03218 - ZS-retouched (30132516316).jpg
Die shot of the Tahiti GPU used in Radeon HD 7950 GHz Edition graphics cards

There are Asynchronous Compute Engines controlling computation and dispatching.[15][29]

ZeroCore Power

ZeroCore Power is a long idle power saving technology, shutting off functional units of the GPU when not in use.[30] AMD ZeroCore Power technology supplements AMD PowerTune.

Chips

Discrete GPUs (Southern Islands family):

  • Hainan
  • Oland
  • Cape Verde
  • Pitcairn
  • Tahiti

Шаблон:AnchorGraphics Core Next 2

Шаблон:Infobox GPU

Файл:AMD PowerTune Bonaire.svg
AMD PowerTune "Bonaire"
Файл:AMD@28nm@GCN 2nd gen@Hawaii@Radeon R9 290@215-0852020@ DSCx2 polysilicon@5x - Flickr - FritzchensFritz.jpg
Die shot of the Hawaii GPU used in Radeon R9 290 graphics cards

The 2nd generation of GCN was introduced with the Radeon HD 7790 and is also found in the Radeon HD 8770, R7 260/260X, R9 290/290X, R9 295X2, R7 360, and R9 390/390X, as well as Steamroller-based desktop "Kaveri" APUs and mobile "Kaveri" APUs and in the Puma-based "Beema" and "Mullins" APUs. It has multiple advantages over the original GCN, including FreeSync support, AMD TrueAudio and a revised version of AMD PowerTune technology.

GCN 2nd generation introduced an entity called "Shader Engine" (SE). A Shader Engine comprises one geometry processor, up to 44 CUs (Hawaii chip), rasterizers, ROPs, and L1 cache. Not part of a Shader Engine is the Graphics Command Processor, the 8 ACEs, the L2 cache and memory controllers as well as the audio and video accelerators, the display controllers, the 2 DMA controllers and the PCIe interface.

The A10-7850K "Kaveri" contains 8 CUs (compute units) and 8 Asynchronous Compute Engines for independent scheduling and work item dispatching.[31]

At AMD Developer Summit (APU) in November 2013 Michael Mantor presented the Radeon R9 290X.[32]

Chips

Discrete GPUs (Sea Islands family):

  • Bonaire
  • Hawaii

integrated into APUs:

  • Temash
  • Kabini
  • Liverpool (i.e. the APU found in the PlayStation 4)
  • Durango (i.e. the APU found in the Xbox One and Xbox One S)
  • Kaveri
  • Godavari
  • Mullins
  • Beema
  • Carrizo-L

Шаблон:AnchorGraphics Core Next 3

Шаблон:Infobox GPU

Файл:AMD@28nm@GCN 3th gen@Fiji@Radeon R9 Nano@SPMRC REA0356A-1539 215-0862120 DSCx1 polysilicon@5x.jpg
Die shot of the Fiji GPU used in Radeon R9 Nano graphics cards

GCN 3rd generation[33] was introduced in 2014 with the Radeon R9 285 and R9 M295X, which have the "Tonga" GPU. It features improved tessellation performance, lossless delta color compression to reduce memory bandwidth usage, an updated and more efficient instruction set, a new high quality scaler for video, HEVC encoding (VCE 3.0) and HEVC decoding (UVD 6.0), and a new multimedia engine (video encoder/decoder). Delta color compression is supported in Mesa.[34] However, its double precision performance is worse compared to previous generation.[35]

Chips

discrete GPUs:

  • Tonga (Volcanic Islands family), comes with UVD 5.0 (Unified Video Decoder)
  • Fiji (Pirate Islands family), comes with UVD 6.0 and High Bandwidth Memory (HBM 1)

integrated into APUs:

  • Carrizo, comes with UVD 6.0
  • Bristol Ridge[36]
  • Stoney Ridge[36]

Шаблон:AnchorGraphics Core Next 4

Шаблон:Infobox GPU

Файл:AMD@14nm@GCN 4th gen@Polaris 11@Radeon RX 460@1628 NAA2Y.1 215-0895088 DSCx4 polysilicon layer@5x.jpg
Die shot of the Polaris 11 GPU used in Radeon RX 460 graphics cards
Файл:AMD@14nm@GCN 4th gen@Polaris 10@Radeon RX 470@1622 M60J5.0A 215-0876204 DSCx1 polysilicon@5.jpg
Die shot of the Polaris 10 GPU used in Radeon RX 470 graphics cards

GPUs of the Arctic Islands-family were introduced in Q2 of 2016 with the AMD Radeon 400 series. The 3D-engine (i.e. GCA (Graphics and Compute array) or GFX) is identical to that found in the Tonga-chips.[37] But Polaris feature a newer Display Controller engine, UVD version 6.3, etc.

All Polaris-based chips other than the Polaris 30 are produced on the 14 nm FinFET process, developed by Samsung Electronics and licensed to GlobalFoundries.[38] The slightly newer refreshed Polaris 30 is built on the 12 nm LP FinFET process node, developed by Samsung and GlobalFoundries. The fourth generation GCN instruction set architecture is compatible with the third generation. It is an optimization for 14 nm FinFET process enabling higher GPU clock speeds than with the 3rd GCN generation.[39] Architectural improvements include new hardware schedulers, a new primitive discard accelerator, a new display controller, and an updated UVD that can decode HEVC at 4K resolutions at 60 frames per second with 10 bits per color channel.

Chips

discrete GPUs:[40]

  • Polaris 10 (also codenamed Ellesmere) found on "Radeon RX 470" and "Radeon RX 480"-branded graphics cards
  • Polaris 11 (also codenamed Baffin) found on "Radeon RX 460"-branded graphics cards (also Radeon RX 560D)
  • Polaris 12 (also codenamed Lexa) found on "Radeon RX 550" and "Radeon RX 540"-branded graphics cards
  • Polaris 20, which is a refreshed (14 nm LPP Samsung/GloFo FinFET process) Polaris 10 with higher clocks, used for "Radeon RX 570" and "Radeon RX 580"-branded graphics cards[41]
  • Polaris 21, which is a refreshed (14 nm LPP Samsung/GloFo FinFET process) Polaris 11, used for "Radeon RX 560"-branded graphics cards
  • Polaris 22, found on "Radeon RX Vega M GH" and "Radeon RX Vega M GL"-branded graphics cards (as part of Kaby Lake-G)
  • Polaris 23, which is a refreshed (14 nm LPP Samsung/GloFo FinFET process) Polaris 12, used for "Radeon Pro WX 3200" and "Radeon RX 540X"-branded graphics cards (also Radeon RX 640)[42]
  • Polaris 30, which is a refreshed (12 nm LP GloFo FinFET process) Polaris 20 with higher clocks, used for "Radeon RX 590"-branded graphics cards[43]

In addition to dedicated GPUs, Polaris is utilized in the APUs of the PlayStation 4 Pro and Xbox One X, titled "Neo" and "Scorpio", respectively.

Precision Performance

FP64 performance of all GCN 4th generation GPUs is 1/16 of FP32 performance.

Шаблон:AnchorGraphics Core Next 5

Шаблон:Infobox GPU Шаблон:See also

Файл:AMD@14nm@GCN 5th gen@Vega10@Radeon RX Vega 64@ES-Sample@ DSCx6 polysilicon microscope stitched@5x.jpg
Die shot of the Vega 10 GPU used in Radeon RX Vega 64 graphics cards

AMD began releasing details of their next generation of GCN Architecture, termed the 'Next-Generation Compute Unit', in January 2017.[39][44][45] The new design was expected to increase instructions per clock, higher clock speeds, support for HBM2, a larger memory address space. The discrete graphics chipsets also include "HBCC (High Bandwidth Cache Controller)", but not when integrated into APUs.[46] Additionally, the new chips were expected to include improvements in the Rasterisation and Render output units. The stream processors are heavily modified from the previous generations to support packed math Rapid Pack Math technology for 8-bit, 16-bit, and 32-bit numbers. With this there is a significant performance advantage when lower precision is acceptable (for example: processing two half-precision numbers at the same rate as a single single precision number).

Nvidia introduced tile-based rasterization and binning with Maxwell,[47] and this was a big reason for Maxwell's efficiency increase. In January, AnandTech assumed that Vega would finally catch up with Nvidia regarding energy efficiency optimizations due to the new "DSBR (Draw Stream Binning Rasterizer)" to be introduced with Vega.[48]

It also added support for a new shader stage – Primitive Shaders.[49][50] Primitive shaders provide more flexible geometry processing and replace the vertex and geometry shaders in a rendering pipeline. As of December 2018, the Primitive shaders can't be used because required API changes are yet to be done.[51]

Vega 10 and Vega 12 use the 14 nm FinFET process, developed by Samsung Electronics and licensed to GlobalFoundries. Vega 20 uses the 7 nm FinFET process developed by TSMC.

Chips

discrete GPUs:

  • Vega 10 (14 nm Samsung/GloFo FinFET process) (also codenamed Greenland[52]) found on "Radeon RX Vega 64", "Radeon RX Vega 56", "Radeon Vega Frontier Edition", "Radeon Pro V340", Radeon Pro WX 9100, and Radeon Pro WX 8200 graphics cards[53]
  • Vega 12 (14 nm Samsung/GloFo FinFET process) found on "Radeon Pro Vega 20" and "Radeon Pro Vega 16"-branded mobile graphics cards[54]
  • Vega 20 (7 nm TSMC FinFET process) found on "Radeon Instinct MI50" and "Radeon Instinct MI60"-branded accelerator cards,[55] "Radeon Pro Vega II", and "Radeon VII"-branded graphics cards.[56]

integrated into APUs:

  • Raven Ridge[57] came with VCN 1 which supersedes VCE and UVD and allows full fixed-function VP9 decode.

Precision performance

Double-precision floating-point (FP64) performance of all GCN 5th generation GPUs, except for Vega 20, is one-sixteenth of FP32 performance. For Vega 20 with Radeon Instinct this is half of FP32 performance. For Vega 20 with Radeon VII this is a quarter of FP32 performance.[58] All GCN 5th generation GPUs support half-precision floating-point (FP16) calculations which is twice of FP32 performance.

Comparison of GCN chips

  • Table contains only discrete GPU chips (including mobile). APU(IGP) and console chips are not listed.
Microarchitecture[59] GCN 1 GCN 2 GCN 3 GCN 4 GCN 5
Chip Tahiti[60] Pitcairn[61] Cape Verde[62] Oland[63] Hainan[64] Bonaire[65] Hawaii[66] Topaz[67] Tonga[68] Fiji[69] Ellesmere[70] Baffin[71] Lexa[72] Vega 10[73] Vega 12[74] Vega 20[75]
Code name1 ? ? ? Tiran ? ? Ibiza Iceland ? ? Polaris 10 Polaris 11 Polaris 12 Greenland Treasure Refresh Moonshot
Chip variant(s) New Zealand
Malta
Wimbledon
Curaçao
Neptune
Trinidad
Chelsea
Heathrow
Venus
Tropo
Mars
Opal
Litho
Sun
Jet
Exo
Banks
Saturn
Tobago
Strato
Emerald
Vesuvius
Grenada
Meso
Weston
Polaris 24
Amethyst
Antigua
Capsaicin Polaris 20
Polaris 30
Polaris 21 Polaris 23 Шаблон:N/a Шаблон:N/a Шаблон:N/a
Fab TSMC 28 nm GlobalFoundries 14 nm / 12 nm (Polaris 30) TSMC 7 nm
Die size (mm2) 352 / 365 (Malta) 212 123 77 56 160 438 125 366 596 232 123 103 495 Шаблон:Unknown 331
Transistors (million) 4,313 2,800 1,500 950 690 2,080 6,200 1,550 5,000 8,900 5,700 3,000 2,200 12,500 Шаблон:Unknown 13,230
Transistor density (MTr/mm2) 12.3 / 12.8 (Malta) 13.2 12.2 12.3 13.0 14.2 12.4 13.7 14.9 24.6 24.4 21.4 25.3 Шаблон:Unknown 40.0
Asynchronous compute engines 2 8 Шаблон:Dunno 8 4 Шаблон:Dunno 4
Geometry engines 2 1 2 Шаблон:N/a Шаблон:Dunno colspan="5" Шаблон:N/a 4 Шаблон:Dunno 4
Shader engines colspan="6" Шаблон:N/a 4 Шаблон:Dunno 4 2 colspan="3" Шаблон:N/a
Hardware schedulers colspan="10" Шаблон:N/a 2 Шаблон:Dunno 2
Compute units 32 20 10 / 8 (Chelsea) 6 5 / 6 (Jet) 14 44 6 32 64 36 16 10 64 20 64
Stream processors 2048 1280 640 / 512 (Chelsea) 384 320 / 384 (Jet) 896 2816 384 2048 4096 2304 1024 640 4096 1280 4096
Texture mapping units 128 80 40 / 32 (Chelsea) 24 20 / 24 (Jet) 56 176 24 128 256 144 64 40 256 80 256
Render output units 32 16 8 16 64 8 32 64 32 16 64 32 64
Z/Stencil OPS 128 64 16 64 256 16 128 256 colspan="6" Шаблон:N/a
L1 cache (KB) 16 per Compute unit (CU)
L2 cache (KB) 768 512 256 128 / 256 (Jet) 256 1024 256 768 2048 1024 512 4096 1024 4096
Display Core Engine 6.0 6.4 Шаблон:N/a 8.2 8.5 Шаблон:N/a 10.0 11.2 12.0 12.1
Unified Video Decoder 3.2 4.0 Шаблон:N/a 4.2 Шаблон:N/a 5.0 6.0 6.3 7.0 7.2
Video Coding Engine 1.0 Шаблон:N/a 2.0 Шаблон:N/a 3.0 3.4 4.0 4.1
Launch2 Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts Шаблон:Dts
Series (Family) Southern Islands Sea Islands Volcanic Islands Pirate Islands Arctic Islands Vega Vega II
Notes mobile/OEM mobile/OEM mobile

1 Old code names such as Treasure (Lexa) or Hawaii Refresh (Ellesmere) are not listed.
2 Initial launch date. Launch dates of variant chips such as Polaris 20 (April 2017) are not listed.

See also

External links

References

Шаблон:Reflist

Шаблон:AMD graphics

  1. Шаблон:Cite web
  2. Шаблон:Cite press release
  3. Шаблон:Cite web
  4. Шаблон:Cite web
  5. Шаблон:Cite web
  6. Шаблон:Cite web
  7. Шаблон:Cite web
  8. Шаблон:Cite web
  9. Шаблон:Cite news
  10. Шаблон:Cite web
  11. Шаблон:Cite web
  12. Шаблон:Cite book
  13. 13,0 13,1 Шаблон:Cite news
  14. Шаблон:Cite web
  15. 15,0 15,1 Шаблон:Cite web
  16. Шаблон:Cite web
  17. Шаблон:Cite web
  18. 18,0 18,1 Шаблон:Cite web
  19. Шаблон:Cite web
  20. Шаблон:Cite web
  21. Шаблон:Cite web
  22. 22,0 22,1 22,2 22,3 22,4 Шаблон:Cite news
  23. Шаблон:Cite web
  24. Шаблон:Cite news
  25. 25,0 25,1 Шаблон:Cite news
  26. Шаблон:Cite web
  27. Шаблон:Cite web
  28. Шаблон:Citation
  29. Шаблон:Cite web
  30. Шаблон:Cite web
  31. Шаблон:Cite web
  32. Шаблон:Cite web
  33. Шаблон:Cite web
  34. Шаблон:Cite web
  35. Шаблон:Cite news
  36. 36,0 36,1 Шаблон:Cite news
  37. Шаблон:Cite web
  38. Шаблон:Cite web
  39. 39,0 39,1 Шаблон:Cite news
  40. Шаблон:Cite web
  41. Шаблон:Cite web
  42. Шаблон:Cite web
  43. Шаблон:Cite web
  44. Шаблон:Cite news
  45. Шаблон:Cite news
  46. Шаблон:Cite news
  47. Шаблон:Cite web
  48. Шаблон:Cite web
  49. Шаблон:Cite web
  50. Шаблон:Cite web
  51. Шаблон:Cite web
  52. Шаблон:Cite web
  53. Шаблон:Cite news
  54. Шаблон:Cite news
  55. Шаблон:Cite news
  56. Шаблон:Cite press release
  57. Шаблон:Cite news
  58. Шаблон:Cite press release
  59. Шаблон:Cite web
  60. Шаблон:Cite web
  61. Шаблон:Cite web
  62. Шаблон:Cite web
  63. Шаблон:Cite web
  64. Шаблон:Cite web
  65. Шаблон:Cite web
  66. Шаблон:Cite web
  67. Шаблон:Cite web
  68. Шаблон:Cite web
  69. Шаблон:Cite web
  70. Шаблон:Cite web
  71. Шаблон:Cite web
  72. Шаблон:Cite web
  73. Шаблон:Cite web
  74. Шаблон:Cite web
  75. Шаблон:Cite web